CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl 正弦波

搜索资源列表

  1. myAD558

    0下载:
  2. AD558 VHDL 程序 *.vhd 包括各种波形发生,正弦波,三角波,梯形波-AD558 vhdl program*.vhd
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:292997
    • 提供者:hongzhe
  1. signal

    1下载:
  2. verilog写的串口控制信号发生器,能通过用串口控制产生正弦波方波等信号-written in verilog serial control signal generator, can be generated using serial control, such as sine wave square wave signals
  3. 所属分类:SCM

    • 发布日期:2017-05-19
    • 文件大小:5519616
    • 提供者:ray
  1. FPGAboxin

    0下载:
  2. FPGA实现波形产生模块能产生正弦,方波,锯齿,三角波的产生,频率可调-fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:257647
    • 提供者:李可
  1. fourkindofwavesproductedbyVHDL

    0下载:
  2. 用VHDL语言编写的信号发生器。共有四种波形,递增锯齿波,方波,三角波,正弦波。因是初学者,故可能有些错误,望各位指正。-VHDL language with the signal generator. There are four types of waveforms, increased sawtooth, square wave, triangle wave, sine wave. I m beginner, so there may be some mistakes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:185683
    • 提供者:kinglg
  1. 67506246SIN_fashengqi

    0下载:
  2. jiyu基于vhdl的 正弦波信号发生器的设计-the sin of design based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:408969
    • 提供者:lcz85
  1. cpld_32

    0下载:
  2. 用VHDL语言写的一个32位DDS的程序。可以产生正弦波-VHDL language used to write a 32-bit DDS procedures. Can produce sine wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:540368
    • 提供者:mt
  1. Multi_function_waveform_generator

    0下载:
  2. 多功能波形发生器VHDL程序与仿真.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出。 -Multi-function waveform generator and simulation of VHDL procedures. The realization of four kinds of common sine wave, triangle, sawtooth, squ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:10237
    • 提供者:
  1. key2

    0下载:
  2. FPGA单片机 vhdl编程 正弦波信号发生器 加2个按键控制频率加减-FPGA Microcontroller vhdl programming sine wave signal generator plus two buttons control the frequency of addition and subtraction
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:1062
    • 提供者:洪理梦
  1. waveform-generator-o-VHDL-program

    1下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 -Achieve the four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency and amplitude controlled output (square wave- A dut
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-14
    • 文件大小:10044
    • 提供者:刘新
  1. Quartus

    0下载:
  2. 用vhdl编写的信号发生器源程序,可以产生正弦波,也可以根据需要产生其他波形-Prepared using vhdl source signal generator can produce sine wave, you can also produce other waveforms as needed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:375914
    • 提供者:LFwen
  1. VHDL_implementation_1KHz_sine_wave_generator

    0下载:
  2. 用VHDL实现1KHz正弦波发生器,编译器是Quartus II 5.4-1KHz sine wave generator using VHDL implementation, the compiler is a Quartus II 5.4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:187494
    • 提供者:ken
  1. ROM_based_sine_wave_generator_VHDL_design

    0下载:
  2. VHDL基于ROM的正弦波发生器的设计的实验报告,内附源代码-ROM-based sine wave generator VHDL design of experiment reports, included the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4367
    • 提供者:CXJ
  1. VHDL3

    0下载:
  2. 一个使用VHDL进行正弦波信号产生的历程,非常有用。-A sine wave signal generator using VHDL for the course, very useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1894
    • 提供者:wyb
  1. fazhi

    1下载:
  2. 这是一个将模拟量转换成数字量的VHDL源代码,能够转换正弦波,三角波,方波;通过设定一个阀值,再用比较器比较实现的!-This is an analog quantity into a digital representation of the VHDL source code, to convert sine wave, triangle wave, square wave by setting a threshold, then comparator compares the implem
  3. 所属分类:assembly language

    • 发布日期:2016-01-27
    • 文件大小:480238
    • 提供者:biao
  1. helpfpga

    0下载:
  2. VHDL语言 用FPGA实现DDS数字频率合成器 包括正弦波和方波-FPGA implementation using VHDL, DDS digital frequency synthesizer, including sine and square wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:436488
    • 提供者:枫树林
  1. ddsgt

    0下载:
  2. 采用DDS技术,在Altera 8.1软件下,利用VHDL语言编程,从而产生正弦波信号,经调试,文件正确可用-Using DDS technology, Altera 8.1 software, using the VHDL language programming, resulting in sine wave signal, after debugging, documentation is available right
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:241926
    • 提供者:郭岩伟
  1. boxing

    0下载:
  2. 基于FPGA的方波,正弦波,三角波,锯齿波的vhdl语言,调试成功-FPGA based square wave sine wave, triangle wave, saw the vhdl language, debugging success
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:2058
    • 提供者:张启富
  1. sin

    0下载:
  2. sin正弦波的产生 DDS FPGA VHDL语言-sin sine wave generation DDS FPGA VHDL language
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:1732025
    • 提供者:王盛力
  1. dds_vhdl

    0下载:
  2. 该源码为VHDL语言编写DDS生产正弦波信号源码-The DDS source for the VHDL language production of sine wave signal source
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:372206
    • 提供者:zhouchao
  1. DDS_100325(13)_success

    0下载:
  2. QUARTUS II环境下VHDL语言编写DDS程序,双数字信号输出,一为正弦波幅值输出,一正弦波差值信号。时钟2^21HZ,带24bits频率控制字。-QUARTUS II environment, VHDL language DDS program, two digital signal output, an amplitude for the sine wave output, a sine wave difference signal. Clock 2 ^ 21HZ, with 24bi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1087912
    • 提供者:骆东君
« 1 2 3 4 5 67 8 9 »
搜珍网 www.dssz.com